import chisel3._ import circt.stage.ChiselStage class Example extends Module { val io = IO(new Bundle { val led = Output(Bool()) }) val counter = RegInit(0.U(12.W)) counter := counter + 1.U io.led := counter(7) }