A massively parallel application RISC-V SOC
// SPDX-License-Identifier: CERN-OHL-S-2.0

`default_nettype none
`timescale 1ps/1ps

package soc_pkg;

    parameter int unsigned MEM_WORDS = 4096;

    typedef struct packed {
        logic valid;
        
        logic [ 7:0] wen;
        logic [63:0] addr;
        logic [63:0] wdata;
    } mem_in_t;

    typedef struct packed {
        logic ready;

        logic [63:0] rdata;
    } mem_out_t;


endpackage